Our booth at Semicon Korea was buzzing with visitors this year. Overall, we had around 1000+ visitors and great spontaneous interactions with a curious audience.
Apart for the booth design, we had a unique attraction this year, 3D printed structures of advanced semiconductor devices, demonstrating the complexity of each architecture and related challenges we’re helping our customers solve.
We also had the pleasure and honor to share our knowledge and vision at two major forums. The first was an invited talk at the MI (Metrology & Inspection) forum and the second at the CMP & Cleaning Technology session within SEMI Technology Symposium. Both received highly positive feedback, the following is a short summary of these talks.
MI Forum
The Next Wave of Convergence: Panel-Level Packaging and Co-Packaged Optics | Sang Hyun Han, VP Strategic Marketing
Sang’s presentation delved into the advancements in semiconductor device architectures driven by the AI era, focusing on the transition to 3D architectures for enhanced performance and power efficiency. It also covered the progression of system technology co-optimization (STCO) through Chiplets and wafer-level advanced packaging.
The presentation highlighted:
- Panel-Level Packaging: The industry's shift from wafer-level to panel-level packaging to reduce costs.
- Co-Packaged Optics: Discussed the integration of electronic ICs and photonic ICs into co-packaged optics using advanced packaging platforms.
- Chemical Analysis Technology: Emphasized the crucial role of chemical analysis technology in enabling the convergence of panel-level packaging with co-packaged optics.
STS - CMP & Cleaning Technology session
Cutting-Edge Integrated Metrology Solutions for Emerging CMP Challenges | Nurit Taub, Product Marketing Manager
Nurit’s presentation focused on the critical role of Chemical Mechanical Planarization (CMP) in semiconductor manufacturing, especially as the industry moves towards more complex geometries and materials. The talk emphasized the increasing demand for tighter control over wafer-to-wafer and within-wafer uniformity.
The presentation highlighted:
- Integrated Metrology (IM) as an essential for CMP process control, enabling accurate and precise measurements.
- Innovative Solutions: Discussed advanced IM solutions for increased sampling and in-die measurement, which optimize uniformity.
- Hybrid Bonding and Advanced Packaging: Explored the importance of advanced metrology in achieving peak performance and control in these areas.
- AI-Driven Techniques: Demonstrated the potential of AI in predicting process variations and improving
We look forward to SEMICON Korea 2026 and till then, our next stop is SEMICON China 2025.
See you there!
A supportive work environment is key to personal and professional growth.
Yu Ting Su, Service Sales Manager at Nova Taiwan, exemplifies success in a technically challenging field. In this interview, she shares insights from her unique perspective, enriching our understanding of resilience and leadership.
Su, how did you choose your career path? What motivated you to choose sales, particularly in the service sector?
I did not know I will be a sales when graduated from a chemistry master degree, but I knew the satisfaction will come when I achieved the robust targets. Sales job is goal-oriented and self-motivated to be involved in many different aspects. It’s challenging and fascinating to me. Joining service sales is a start to my equipment vendor journey.
When you started your role as a Service Sales Manager, did you encounter any unique challenges?
When I began my journey as a Service Sales Manager, it was clear that the field posed its unique challenges. Fortunately, my boss, Borren Lai, who had been with Nova for 16 years, was incredibly supportive and instrumental in helping me integrate into the team. From the beginning, Nova’s respectful and progressive stance on diversity made me feel valued. The initial three months were challenging as I was still enhancing my technical knowledge and familiarity with our tools. However, with persistent learning and the strong support from my team, I gradually became more confident and adept at addressing complex queries.
How do you approach building relationships with clients in your role as a Service Sales Manager?"
Those familiar with Nova know they can trust me. When it comes to building work relationships and earning client trust, what really matters is authenticity and experience. I focus on bringing my true self and my professional background to every interaction, and I find that this approach resonates well with clients.
As a sales professional, how do you handle the pressure of meeting targets and expectations?
First, knowing the background and being well-prepared for meetings reduces pressure, and it is also very helpful during negotiation processes. Trying to get to know the client you are meeting with before the meeting, also plays a role - so I usually try to consult someone in the company who met the client before. I try to find mutual interest and build trust. I will also set the correct expectations with the client, in a positive manner while setting healthy boundaries.
Who have been your key mentors or role models, and how have they influenced your professional journey?
I love a book called 'Lean In' by Sheryl Sandberg, Facebook’s former COO. I read it seven years ago, and it has inspired me. Whenever I feel down or frustrated, I read it again to stimulate and energize myself - and it always improves my mood. Additionally, my motivation has a constant need for change, and every few years I seek that change, as well as some new challenges. Whether it is exploring new fields, working with new clients, or taking on new roles - I love trying new things, and it always inspires me.
Could you describe the dynamics in the team you work in?
In my work environment, the predominance of male colleagues is notable, but our team communication and bond are exceptionally strong. We interact in a casual, friendly, and direct manner—straightforward and to the point, which makes our exchanges very efficient. I often coordinate with team members across various roles who, despite their heavy workloads, are so supportive. Since Nova is a mid-size company, our agility enhances the smoothness of our operations. Everyone is willing to adapt and take on diverse tasks, which greatly facilitates all our work processes.
If I were to talk to your colleagues, how would they describe you?
I hope they say that I love to help them whenever I can. My colleagues might describe me as a brave person who enjoys challenges and finding solutions for those challenges.
Could you share a memorable event or project that highlights the collaborative spirit of your workplace?
We had a happy hour celebrating a successful project, and Gaby Nova’s CEO was there. Anyone could tell that Gaby realized and understood the importance of this milestones but even more so, he was adamant to celebrate this with us.
How do you unwind after a busy day of achieving your goals?
Being outdoors is what makes me happy. Running is my go-to activity, and I hit the trails twice a week. I completed half marathons, and I've also tackled a full marathon and a triathlon, with plans to take on more next year.
What future goals and visions do you have for your career?
I'd love to learn more and continue to grow. In the future, I would love to become a manager who helps others achieve their goals. I know it might not be as easy as it sounds, yet I believe I can do it.
Su, thank you for sharing your experiences with us. Your dedication and vibrant energy truly stand out at Nova. We’re inspired by how you tackle challenges with enthusiasm and lead by example, continuously setting and achieving ambitious goals. We're proud to have such a dynamic and driven individual like you on our team!
The rapid advancement of artificial intelligence (AI), high-performance computing (HPC), and edge devices are propelling the semiconductor industry into a new era of innovation. Device architectures are evolving towards vertical scaling across logic, memory, and advanced packaging segments to meet the escalating demands for enhanced functionality and increased memory capacity. However, as chips grow more complex, efficient power delivery and robust connectivity have become critical to this type of scaling while achieving the necessary power efficiency and processing speeds for these advanced applications. Innovations such as backside power delivery networks and advanced interconnect technologies are being integrated into manufacturing processes to support this evolution. These advancements introduce new challenges, necessitating cutting-edge metrology solutions to ensure high-yield and cost-effective production of these sophisticated semiconductor devices.
Innovation in Logic Devices - Building a “real backend” through BPDN
The Backside Power Delivery Network (BPDN) is not a new concept but an innovative approach in logic device design, addressing critical power delivery and performance scaling challenges. Unlike traditional power delivery schemes, where power and signal interconnects share the front side of the wafer, BPDN moves the power delivery network to the backside of the silicon die, enabling optimized power distribution.
By dedicating the backside to power delivery, BPDN increases the density of power vias, enabling higher current-carrying capacity and better voltage regulation across the wafer. This separation also liberates the frontside interconnects for purely signal-related tasks, improving signal routing efficiency and reducing crosstalk. This approach was developed to address the challenges posed by traditional power delivery networks, which struggled with power integrity and efficiency due to increased on-chip current densities and shrinking interconnect dimensions.
The routing scheme of backside power to the front-end logic cells evolved over time to achieve a density increase at the chip level, improved power savings, and higher speed (use of higher clock frequencies). Routing schemes include Buried Power Rail, TSV Middle (TSVM), and Direct Backside Contact.
Source: imec
- Buried Power Rail (BPR) architecture – The “base” scheme where a buried power rail still connects to the transistors via a frontside metal layer, and to the power delivery network on the backside with the through silicon vias (TSV).
- TSVM (AKA PowerVia by Intel) - In this architecture, backside power is delivered to the side of the transistor contact, avoiding any power routing on the front side.
- Direct Backside Contact (DBC) - This scheme, although more complicated in fabrication, has the greatest scaling benefits of all, where contacts for power routing are formed beneath sources and drains, eliminating the through-silicon connection.
BPDNs extend Moore’s Law and also pave the way for future semiconductor architectures capable of meeting the demands of data-intensive and AI-driven applications. This holistic approach to power delivery exemplifies how process innovation can overcome critical bottlenecks in modern semiconductor devices.
Scaling DRAM Capacity and Performance – Die Stacking in HBM
High Bandwidth Memory (HBM) was created to address the increasing demands for high-speed and high-capacity memory in applications such as artificial intelligence, high-performance computing, and advanced graphics processing. Traditional DRAM architectures struggled to meet these requirements due to bandwidth, power efficiency, and latency limitations. HBM overcomes these challenges by enabling massive parallel data transfer rates, high memory density, and significantly reduced power consumption. Its architecture meets the needs of applications requiring terabytes-per-second bandwidth and the integration of ever-larger datasets.
HBM achieves its performance through a vertically stacked architecture. Multiple DRAM dies are stacked using Through-Silicon Vias (TSVs), which provide high-density vertical interconnections. These stacks are connected to a base logic die through micro-bumps, ensuring a compact and efficient design. This architecture minimizes data travel distances, reducing latency and enabling higher data transfer rates than traditional memory modules.
HBM technology currently supports stacks of up to 12 DRAM dies, offering massive memory capacities suitable for cutting-edge applications. Roadmaps for future generations of HBM aim to increase the number of stacked dies and improve interconnect density, further pushing memory capacities and bandwidth. These advancements will continue to meet the evolving requirements of AI and HPC workloads while enhancing power efficiency and scalability.
Metrology plays a critical role in HBM production, ensuring the precision and reliability of its complex stacked architecture. Key challenges include inspecting TSVs for continuity, alignment, and potential defects and verifying the quality of micro-bump connections. The ultra-high-density nature of HBM interconnects demands advanced measurement tools capable of nanoscale resolution. Furthermore, ensuring uniformity across the entire stack is essential to achieving consistent electrical and thermal performance. By addressing these metrology challenges, manufacturers can optimize HBM reliability and deliver memory solutions that meet the most stringent performance requirements.
Hybrid Bonding (HB) - The Super Glue of Modern Integrated Systems
Hybrid Bonding (HB) is a transformative interconnect technology enabling direct copper-to-copper and dielectric-to-dielectric bonding at the nanoscale. This method is already employed in advanced semiconductor devices, including 3D NAND flash, high-performance logic chips, and image sensors, where its ability to achieve high interconnect density and efficiency is crucial. In the future, Hybrid Bonding is expected to drive innovations in 3D heterogeneous integration, combining multiple dies with different functionalities—such as logic, memory, and analog—into a single compact system. HB becomes an essential enabler for semiconductor architectures designed for AI, HPC, and advanced IoT applications.
At its core, Hybrid Bonding enhances interconnect density, reduces resistance and capacitance, and enables much tighter pitch scaling, often below 10 µm. These attributes significantly improve signal integrity, power efficiency, and overall performance. The process requires meticulous copper and silicon dioxide surface preparation to achieve atomic-level flatness and cleanliness, ensuring seamless electrical and mechanical connections. By eliminating traditional solder bumps, Hybrid Bonding also reduces the parasitic issues associated with conventional interconnects, further enhancing device performance. Advanced metrology tools are critical to characterize these surfaces, ensuring that bonding achieves the required precision and reliability.
Source: AMD
Nova Prism – Addressing complex challenges in interconnects
Nova Prism®, utilizing comprehensive spectral interferometry information with advanced modeling and machine learning capabilities, is designed to address complex challenges in optical critical dimensions of interconnect technologies. One of the unique capabilities of Nova Prism® is its ability to filter out top and underlayer effects on the measurement and extract the desired POI through direct measurement. Nova Prism® supports multiple interconnect metrology applications in process control of RDL formation, TSV CMP and Hybrid Bonding.
Zoom In: TSV Metrology
As described earlier, TSV technology is used to implement backside power delivery in Logic devices (specifically buried power rails architecture), and HBM die stacking. TSVs present three significant challenges in process control. The first one is the need for high measurement sensitivity, which decreases as the aspect ratio of a TSV increases. The second is the ability to measure thick, non-periodic, isolated structures accurately, and the third is the need for complicated 3D modeling to handle complex/unknown underlayers and/or top layers in some cases. Nova Prism® overcomes all these challenges through a unique combination of its spectral interferometry (SI) technology and algorithm-assisted direct measurement that provide unparalleled accuracy and robustness.
A good example for these capabilities was demonstrated in Chiplet technology, in which comprehensive TSV characterization, including grind side measurements, is critical to ensure device reliability. The main processing steps in the TSV process flow are depicted in the illustration below. Two out of several critical parameters of interest are the depth of the TSV after the reactive ion etching (RIE) step and the reveal height of the TSV tip after wafer bonding and grind-side reveal. A robust and non-destructive measurement technique is required to access these critical parameters. Here is where SI provides a fast and reliable metrology approach to measure these two parameters in the TSV process flow while also delivering valuable information about structural asymmetry at the TSV bottom.
TSV process flow (main processing steps)
Read the full “Spectral Interferometry for TSV Metrology in Chiplet Technology” publication here:
https://www.novami.com/publications/spectral-interferometry-for-tsv-metrology-in-chiplet-technology/
Summary
Interconnect technologies are at the heart of the semiconductor industry evolution, enabling the performance and efficiency required by AI, HPC, and advanced edge applications. This blog explored three critical innovations driving this progress.
- Backside Power Delivery Networks (BPDNs): A groundbreaking approach in logic devices that relocates power interconnects to the backside of the silicon die. BPDNs improve power efficiency, reduce resistance and inductance losses, and free up frontside interconnects for signal routing, advancing power and performance scaling.
- High-Bandwidth Memory (HBM): Using vertically stacked DRAM with Through-Silicon Vias (TSVs), HBM achieves exceptional bandwidth, memory density, and power efficiency. These advancements are crucial for data-intensive workloads, including AI and HPC, as they support higher memory capacities and reduced latency.
- Hybrid Bonding (HB): An innovative interconnect technology that enables tighter pitch scaling, reduced parasitic phenomena, and high interconnect density. By directly bonding copper and dielectric surfaces, HB facilitates 3D heterogeneous integration, which is essential for advanced semiconductor architectures.
Advanced metrology tools, such as Nova Prism®, play a critical role in overcoming the manufacturing complexities of these interconnect technologies. By addressing challenges like TSV aspect ratios and hybrid bonding precision, these tools ensure the scalability, reliability, and performance required for next-generation devices.
These technologies collectively illustrate the semiconductor industry's commitment to innovation, enabling powerful, efficient, and compact solutions for the data-driven future.
The heart of Nova Korea lies in the people who drive its success.
Every employee’s experience is different, but the passion and drive are the same.
Nova Korea employees have faced challenges, embraced new opportunities, and grown into leaders in their fields.
These stories capture the essence of what it means to be part of a team that’s always striving to learn, grow, and push boundaries.
Hyerim Kim, Senior Service Coordinator, panelist in Nova Korea’s Women’s Day panel
Hyerim, since starting at Nova in 2019, what big obstacles have you faced and what helped you overcome them? Since starting at Nova in 2019, the challenges I faced, especially those stemming from management changes, seemed daunting at first. However, these obstacles became steppingstones for personal and professional development. I cultivated self-reliance and a sense of responsibility, learning to independently manage my tasks and time. By setting goals and collaborating with my team, I improved my problem-solving skills, embodying the saying, 'Give me the serenity to accept the things I cannot change, the courage to change the things I can, and the wisdom to know the difference.' Instead of dwelling on the uncontrollable, I embraced the opportunity to drive change, furthering my capabilities. Nova's support and emphasis on positive communication have been instrumental in this journey, showing me the value of empowerment through teamwork. This approach has not only enabled me to overcome challenges but has also opened doors to continuous learning and growth.
Dean Doo, Sales Manager, Nova Korea
“I joined Nova in 2010 as a service engineer, diving into the technical side of our operations. Over the past 13 years, I've served as a service leader and service manager, and as of January this year I shifted to the sales team and am currently dedicated to driving Noa’s business forward. When I joined I was much more introverted and shy, however, my experience at Nova has transformed me. Through my work and team activities at Nova, I have improved my social skills, and I have come to empathize with Nova's values and goals. This increased my passion for Nova’s technology and for making our customers satisfied with our service. After many years in the service field, I wanted to explore new challenges and utilize my new skill set. I was fortunate to get the support of my managers who believed in me and thought that my deep understanding of our products and customers' needs would be exactly what is required for a sales position. This proved itself since the transition to sales felt like a natural progression. When I joined Nova I sought an environment where hard work was recognized and rewarded. Being part of Nova for more than 13 years speaks volumes about how much I enjoy working here.”
Ethan Choi, DMD Application Engineer, Nova Korea
“I nearly missed out on a fantastic opportunity simply because I was feeling tired! 😊 During my studies in applied physics at the university, after a tiring day, I just wanted to go home. But a friend mentioned a lecture offering free snacks, and as a student I couldn’t say no to free snack. Little did I know, it would lead me to Nova Korea's campus tour. The seminar opened my eyes to the growth potential Nova offered. Suddenly, I could envision a future with so many professional and personal possibilities. In my final semester, I remembered Nova and I found the HR manager's business card that was handed out during the tour. I decided to give her a call and to my delight, there was an opening for a fresh-out-of-college physicist! Now, as a DMD application engineer for Nova Elipson, I'm just getting started. What struck me most as a newbie was the company culture—everyone's friendly, always willing to lend a hand, regardless of the task. My goal is to become an expert in my field and be someone others can always depend on, both at work and at home. Nova is where I see this happening.”
Yvonne Kim EHS Specialist Nova Korea
Yvonne, If you could give an advice to any woman striving for excellence- what would it be?
“Women have a lot of prejudice about their ability when they start a job, yet there is nothing that they can’t do.
When I first joined Nova Korea, I had the impression that there are many EHS specialists all over the world and many female leaders among them. And rather than thinking with such prejudice that it is not possible, I now believe we should challenge this by encouraging more women to get a license in the field or develop other specialties.”
Darren Kim- CMD Sales Manager, Nova Korea
“I have to admit that I was surprised by how much effort Nova put into the ancosys team, when we first joined forces. It was this that made me want to stay as part of the company and grow with it. Nova’s vision resonates with my vision and I often find myself thinking: ‘how can I contribute more to Nova’s success’.
Being part of Nova has really helped me grow; I've been through training sessions on topics like DEIB and leadership, which made me see things in a new light. I enjoy the team spirit here where there is a lot of responsibility on each and everyone of us. The move to the new office did us a lot of good. We work in a very convenient and beautiful environment where we have room to work together and deepen our professional relationship across the different teams and departments.
One driving force for me is remembering a particular manager from my past who really believed in me personally. His belief in my abilities always pushes me to aim higher. Now, connecting with the current leadership at Nova, I find their values and vision resonating deeply with me. It's like carrying forward that initial trust and proving it right, not just to honor his belief but also to align with our current team's direction and goals.
For me, there's no separating my success from Nova's success. We're in this together, and every win for Nova feels like a personal victory.”
Kyoungsu Kim Product Expert, Nova Korea
“My trip to Nova USA for business turned into a great opportunity for learning and growing in my job.
It was clear that to really learn everything, I'd need to stay longer than usual, which meant being far from my family.
Thankfully, Nova helped by arranging for my family to join me on this extended business trip, turning a professional challenge into a chance for us to create new memories together.
During this time, I got to learn hands-on about our technology and also got to show my family around, like taking them to see the Golden Gate Bridge.
The team in Nova USA was very welcoming and I enjoyed joining the happy hours and celebrations.
Mixing work learning with family time like this really showed me how Nova supports its employees, not just in their careers but also in making sure we all have a balanced and fulfilling life.”
Jungwook Kim, Application Director, Nova Korea
“Drawing from my 30 years of experience in the tech industry, I believe that the most important skill for any professional is confidence.
That's why I always find myself chatting with younger colleagues, offering tips on how to reach their goals.
So, when I heard about Nova's annual learning week, "Metro Fest," I jumped at the chance to give a lecture.
I wanted to share my work and life experiences with the team, especially the younger ones.
My goal was to help them build their confidence and bridge that generation gap.
It turned out amazing! The room was packed, and I even learned a lot from my younger colleagues about their concerns and interests.
But the real highlight was the feedback! Everyone was super engaged and had great things to say afterwards.
Being part of Nova Korea makes me really proud.
This experience is another example of how Nova goes beyond just our daily jobs and invests in its employees.
Oh, and let's not forget the awesome burger lunch we shared after the lecture!”
These stories are just a few shades in the spectrum that defines Nova Korea. Each individual brings their own color, their own perspective, adding to the vibrant mosaic that makes our team so special.
Jake Lee, Service Manager, Nova Korea
“After a few years exploring roles in various companies and territories, I realized there's no place quite like Nova and chose to come back.
Since my departure in 2017, I've missed the unique mix of challenging work and great teamwork that Nova Korea stands for.
It truly feels like coming back to my professional home.
The company has grown significantly, transitioning from a small start-up-like operation to a more structured, professional environment.
Yet, the core of what makes Nova special, the proactive, growth-oriented culture, remains the same—not to mention the friendly, family-like atmosphere.
My journey has come full circle.
I left an FSE position 7 years ago, and now, as a service manager, I'm looking forward to contributing to our service team's success and enhancing our operations and customer relationships.
Rejoining Nova isn't just a step back to familiar grounds; it's a step forward in my career, ready to make an impact with a team that feels like family.”
If you feel that you can be the next piece in our ever-evolving puzzle click here to learn about the various career opportunities in Nova Korea- Link: https://www.novami.com/location_filter/korea/
Meredith Qin's remarkable voyage at Nova included a transition from a PhD holder in materials science to a pivotal application engineer. Her path embodies Nova's story of professional evolution and supportive camaraderie.
Meredith, Can you tell me a little about yourself, your position, how long you have been at Nova, and your academic background?
I became part of the Nova team on April 25, 2022, which means I've been here for a little over a year. Within the application team, I serve as an application engineer. My academic journey led me to attain a PhD in materials science and engineering, with my research centered around mesoporous silica nanomaterials and their diverse applications.
That sounds very impressive- When did you first discover your passion for STEM? What inspired you to pursue this field of study?
Back in junior high school, I found a fondness for math, physics, and chemistry. My curiosity drove me to delve into STEM. Seeing those who excelled in this domain and my enjoyment of experiments pushed me forward, and the thrill of publishing a research paper brings me immense happiness.
How did you get started at Nova?
My introduction to Nova began during the Shanghai lockdown, where I was deeply moved by the support I received. The HR team extended their care by sending me essential supplies. Additionally, Klara, Steve, and Anthony organized comprehensive online technical training sessions that not only enhanced my professional growth but also demonstrated their genuine concern for my overall well-being.
What made you choose Nova over other companies?
Nova really shines as a top-notch foreign semiconductor metrology company here in China. What caught my attention was the super cool mix of flexible work setups and those exciting, hands-on job tasks they bring to the table.
Could you share a memorable experience that you've had while working at Nova?
There was this one time when I bumped into a really tough problem. But you know what? The local team and even the HQ team jumped in to assist. I was totally blown away by how everyone pitched in to break down the data and come up with a fix.
What makes the culture at Nova unique and enjoyable for you?
the HR team frequently puts together these awesome festival celebrations and surprises us with little gifts. It's those gestures that really make me feel valued and appreciated.
Are there any specific training or mentorship programs that have been valuable to you at Nova?
Absolutely. I owe a big shout-out to Anthony. His guidance has been instrumental in tackling those tricky cases. As my line manager, Anthony not only provided invaluable expertise but also guided me through unfamiliar territory when I first joined Nova. His precise instructions have been a game-changer, making my tasks much smoother.
When you're not at work, what do you like to do in your free time?
I find relaxation in watching TV shows and movies, engaging in sports, exploring local shops, savoring tasty dishes, and taking leisurely strolls by the beach.
Are there any particular role models or mentors who have inspired or influenced you in your career?
I find inspiration in the remarkable women who've paved the way in engineering and technology. Their accomplishments show that success knows no gender, especially in this field.
What advice would you give to other women aspiring to enter the field of engineering or technology?
For all the aspiring women looking to step into the world of engineering or technology, here's my advice: Embrace the challenges because they come with incredible rewards. Never hesitate to seek help when you're stuck, and most importantly, believe in your abilities—success is waiting for you on the other side.
Are there any specific goals or aspirations you're working towards at Nova?
Absolutely, I have some clear goals in mind here at Nova. First and foremost, I'm dedicated to expanding my technical skills and deepening my understanding of the industry. Alongside that, I'm committed to ensuring our customers' satisfaction and doing everything I can to keep those smiles coming.
Lastly, what do you love most about being part of the Nova team?
What truly warms my heart about being a part of the Nova team is the welcoming and relaxed work environment. No matter the challenges I face, I find comfort in the fact that my team is always there to lend a hand. It's this sense of camaraderie that brings me joy and a strong sense of support.
Wesley Tran's experience at Nova illustrates how curiosity and a genuine love for learning can reshape a career. Starting off as an administrative assistant, Wesley's proactive nature and enthusiasm for growth have propelled him to the role of Executive Assistant to the General Manager. In this post, Wesley offers a peek into his professional evolution, Nova's welcoming culture, and how seizing learning opportunities has enriched his work life.
Wesley, can you tell us about your initial role at Nova and how you've progressed to where you are today?
When I first joined Nova, I was hired as an administrative assistant. It was my steppingstone into the corporate world, and I was determined to make the most of it. I immediately sought resources to excel in my role, starting with leadership books and attending various training sessions. These efforts paid off when I was promoted to Executive Assistant. It’s a role that has allowed me to not just support but also lead in many capacities. This progression within Nova really highlights how the company recognizes and nurtures potential from within.
Throughout your time at Nova, what have you found most rewarding about your work?
The most rewarding aspect has been the ability to empower others. Whether it’s by providing strategic support to our General Manager or facilitating team communications, I thrive on helping others succeed. At Nova, I’ve been given the platform to not just perform tasks but to take initiative and drive projects that contribute to our mission. This makes every day fulfilling.
How do you integrate your love for learning into your daily responsibilities at Nova?
My role is incredibly dynamic, which suits my natural love for learning perfectly. I constantly encounter new challenges that require innovative solutions. Whether it’s streamlining an administrative process or coordinating cross-departmental projects, each task allows me to apply and expand my knowledge. Nova’s supportive environment encourages this exploration, which helps me stay engaged and continuously evolving professionally.
Outside of work, what are some of your personal interests or hobbies?
I'm quite passionate about bouldering. Bouldering is not just a hobby but a way to connect with people and stay active. I also spend a lot of time engaged in various sports, like basketball, salsa dancing, and surfing, which keeps me physically and mentally sharp. These activities are perfect for unwinding after a busy week and give me a fresh perspective for my work.
What's one personal item you can't live without at your desk?
I always keep my shaker bottle filled with plant proteins at my desk. It’s perfect for a quick burst of energy. I keep this bottle at my desk every day to supply my body with the nutrients and hydration my body needs to do my work well.
What advice would you give to someone starting in an administrative role at Nova?
Start every day as a student. Embrace the variety of learning opportunities that come your way. Be proactive about identifying resources, whether it’s books, mentorship, or training sessions. Most importantly, understand that every task, no matter how small it seems, is a steppingstone to greater responsibilities. Nova values initiative and drive and showing these qualities can open many doors.
As someone who's climbed the ranks, what makes Nova a special place to develop a career?
Nova isn’t just about growth; it’s about meaningful growth. We’re encouraged to not only pursue our career aspirations but also to contribute to a culture that values innovation and teamwork. The collaborative atmosphere and the collective drive to excel make Nova a remarkable place to work. Here, you’re not just part of a company; you’re part of a community that champions personal and professional development.
Wesley’s story is a powerful reminder of how a continuous learning mindset can lead to substantial career achievements. At Nova, the potential for growth is not just a prospect—it's a reality, demonstrated by the many stories like Wesley’s that weave through the company’s fabric. His journey from an eager administrative assistant to an empowering executive assistant reflects the dynamic career paths that Nova supports, highlighting the company's commitment to fostering internal talent and leadership.
At the age of 31, Robin has already risen to become the youngest Team Leader of Customer Service at Nova. His journey, marked by determination, resilience, and a passion for making a difference, exemplifies the values that Nova holds dear. Today, Robin shares his story of navigating the challenges of leadership, fostering a culture of collaboration and innovation within his team, and playing a pivotal role in the world's semiconductor chemical metrology. Through his leadership, Robin not only ensures top-notch service and support for Nova's clients but also inspires the next generation of leaders to pursue their dreams with confidence and determination.

Robin, thanks for joining us today. Before we dive into the nitty-gritty, could you start by telling us a bit about yourself and how you ended up as the Team Leader of Customer Service at Nova Germany?
I'm Robin Liegl, and I've been with Nova for about three and a half years now. I started out as an Administrator when the company was still known as ancosys,. I was drawn to Nova by our unique line of work and the promise of personal and professional growth. Over the years, I've had the opportunity to learn and work hard, eventually stepping into the role of Team Leader for Customer Service. I was surprised by how quickly it happened. But I embraced the challenge with open arms, and it's been incredibly rewarding so far.
That's fantastic to hear, Robin. Leading a team that you used to be peers with Can be challenging, can you share your experience navigating through this?
I'll be honest, it was a bit challenging at first. Stepping into a management role meant coping with a whole new dynamic with people I had always seen as my friends and peers. I was scared, unsure of how to approach the situation without creating any awkwardness or tension. But I quickly realized that the key was to remain true to myself and stay authentic. I made a conscious effort to maintain the same level of camaraderie and respect that we had always shared, while also establishing boundaries and setting clear expectations as their leader.
One thing that really helped smooth the transition was giving everyone the autonomy they needed to excel in their roles. I trust my team implicitly, and I made sure they knew that I valued their expertise and input. From day one, I made it a point to include them in decision-making processes and encourage open communication. And I'm happy to say that everyone in the team has adapted well to the overall transition. We've managed to strike a balance between friendship and professionalism, and it's been incredibly rewarding to see how we've grown and evolved together as a team.
What characterizes the atmosphere in your team?
We're a close group of individuals who are passionate about what we do and committed to supporting one another. We love to have fun together at work, especially when it gets stressful. it helps us cope and gives us the right mindset to overcome any challenges. As a leader, I believe in leading by example and creating an environment where everyone feels valued, heard, and motivated to do their best work.
Sounds like a very positive and supportive team spirit! Can you tell us about your work at Nova Germany?
Our team plays a crucial role in the world's chip production process. We're responsible for ensuring that our clients receive high quality service and support, ultimately contributing to the seamless production of chips used in various devices worldwide. It's a mission that requires precision, dedication, and a deep understanding of our clients' needs. Each member of our team plays a vital role in this process, and it's incredibly rewarding to see the impact of our work on a global scale.
Wow! And on interpersonal level, how would you describe the culture at Nova Germany?
The culture at Nova is truly one-of-a-kind. It's a culture of collaboration, innovation, and inclusivity. We're not just colleagues – we're a family, united by our passion for excellence and our commitment to making a difference. Whether it's through team-building activities, professional development opportunities, or simply fostering a supportive work environment, Nova cultivates a culture where everyone feels valued and empowered to succeed.
To wrap up Robin, what advice would you give to other young professionals aspiring to leadership roles?
My advice would be to never underestimate the power of hard work, perseverance, and continuous learning. Embrace every opportunity to grow, both professionally and personally, and don't be afraid to take on new challenges. Surround yourself with supportive mentors and colleagues who will lift you up and help you succeed.
Click Here to learn more about career opportunities in Nova Germany-
link: https://www.novami.com/location_filter/germany/
Today's most advanced AI processors consist of billions of transistors and are steadily growing toward 1 trillion. Earlier this year, NVIDIA announced on the arrival of their new Blackwell platform, to power a new era of computing. Its GPU, the world’s most powerful chip, is packed with not less than 208 billion transistors! These tiny components are the stars of semiconductors, but behind every high-performance transistor lies a multitude of materials involved in the fabrication process.
We have been in the materials era for several years now, and this trend is expected to continue into the foreseeable future. The primary reason for this is that traditional scaling and even 3D architectures are no longer sufficient for creating high-performance devices. As a result, new materials are being introduced, with nearly the entire periodic table being utilized in IC production.
Various novel materials are employed in IC manufacturing. The fundamental ones include Silicon (Si), Germanium (Ge), oxidants, and dopants. These are the basic building blocks of semiconductors and have the greatest impact on their performance. Additionally, sacrificial materials such as photoresists, developers, cleaners, surfactants, and others are used during the different wafer processing stages.
In this post, we will explore the trends, challenges, and solutions related to the increasing use of materials in advanced logic devices, with a focus on the fundamental "construction" materials that define their performance.
Materials in Advanced Logic Devices
Examining the history of logic device development, often referred to as "the scaling roadmap," reveals the critical role materials have played in its evolution. Nearly every new technology node has introduced new materials, processes and architectures, ranging from copper and Silicon-Germanium (SiGe) to High-K Metal Gate (HKMG), cobalt, lanthanum, dipole materials, and potentially 2D materials in the future. Here are two notable examples.
The Impact of Materials on Logic Scaling Roadmap
Cu Dual Damascene– In the mid-1990s, IBM unveiled the world's first devices using a copper dual damascene process. Copper replaced the aluminum-based Back End of Line (BEOL) interconnect metal, significantly enhancing speed due to its much higher conductivity. One drawback of copper was its inability to be etched, preventing the use of subtractive patterning. This led to the development of the damascene process and additive patterning. The dual damascene process is employed to create and connect metallization layers. In this process, a via hole and a trench are etched and then filled with copper, hence the term "dual damascene."
High-K Metal Gate (HKMG)—In 2007, Intel introduced a significant innovation in the fabrication of 45 nm microprocessors. The transistor’s gate dielectric, a silicon dioxide insulator, began to lose its insulating quality and exhibit excessive leakage. Intel's solution was to replace silicon dioxide with a hafnium-based dielectric layer and use an alternative metal material for the gate electrode. This combination resulted in a "high dielectric constant," also known as "high-K."
Logic Roadmap and Challenges
Gate-All-Around (GAA) Centric Roadmap
Looking ahead at the roadmap for logic devices, despite many challenges, the path appears clear for at least the next decade. Already in production and in the coming years, the focus will be on GAA and its variants. This will evolve from the current 3-4 nanosheets to possibly the Forksheet design, which features a dielectric wall between PMOS and NMOS, allowing N and P to be closer for higher scaling, albeit with the drawback of losing one side of the GAA. The next significant advancement, already in development, is CFET, with NMOS over PMOS, offering additional scaling opportunities In fact, at the 2024 IEEE Symposium on VLSI Technology & Circuits (2024 VLSI), imec, a world-leading research and innovation hub in nanoelectronics and digital technologies, presented for the first time electrically functional CMOS CFET devices with stacked bottom and top source/drain contacts (see full details here: https://www.imec-int.com/en/press/imec-demonstrates-functional-monolithic-cfet-devices-stacked-bottom-and-top-contacts). In the future, we can expect to see much more integration of 2D materials into the architecture.
Logic GAA Centric Roadmap
GAA Process Flow Challenges
Zooming into the GAA process flow reveals several material challenges at key process steps that directly inflict on the operational characteristics of the transistor and its performance. Let's explore some of these challenges in detail to better understand the material characteristics that require close monitoring and control.
Source/Drain epitaxial growth (step e) - The concentration of Germanium and dopants (Boron for PFET and Phosphorus for NFET) must be controlled as it will determine the electrons and holes mobility in the transistor, and consequently its switching speed.
Gate spacer and nanosheets inner spacers formation (steps a and d) – Both are made of low K (<5) material reducing the parasitic capacitance between the gate and source/drain and preventing current leakage between the source and the drain. Therefore, the gate spacers need to be conformal and the Inner Spacers to fill the gaps completely. Both should be also etch tolerant.
Dielectric walls and interlayer (steps f and i) – Material properties are critical here as this dielectric provides electrical isolation between adjacent transistors across the entire wafer and prevents cross-interference as well as interlayer isolation between the frontend (transistors) and backend (metallization layers)
High-K metal gate formation (step h) – As mentioned earlier, HKMG was introduced to address the excessive gate current leakage problem. Both the application of the hafnium-based dielectric layer and metal layer (constructed of various metals) must be optimized as it is directly correlated to transistor’s electrical performance.
Simplified GAA Process Flow (Source: [1])
These are only few examples; there is a wide variety of material-related challenges in other GAA process steps. Looking ahead, as 2D materials are integrated into the process, the logic architecture may remain largely similar, but the materials and related challenges will increase and become significantly different.
Materials Metrology Solutions
Alongside the increase in the types of materials used, the range of important material properties is also expanding. These include factors such as stress, doping levels, interfaces, and many more. This significant trend directly impacts the scope of metrology, which is also expanding.
Metrology Technologies Characterization
To address emerging process challenges, a wide variety of materials metrology technologies are available for material characterization. Each technology offers different capabilities in terms of spot size and detection limits and can be categorized based on the type of information it provides (elemental, imaging, etc.). They can also be grouped by their "fab adoption" status: those deeply rooted in materials R&D labs, those used near the production lines (near-line), and the few that have transitioned to true in-line material metrology technologies.
metrology technologies characterized by information provided (right) and fab adoption (left); Adopted from Eurofins | EAG Laboratories
One key reason for the low adoption rate of lab technologies in fabs is the complexity and difficulty of migrating technology from the lab to high-volume manufacturing (HVM), which requires numerous innovations and modifications.
Firstly, the technology needs to be automated, encompassing hardware, software, mechanics, recipe-driven process flows, and automatic calibrations. For metrology technology to measure product wafers and be HVM-worthy, it must ensure fab connectivity, process control layers, tool-to-tool matching, and stability across various environmental conditions. Lastly, it must meet the performance requirements for advanced devices, which involve higher throughput, improved accuracy and repeatability, enhanced algorithms, data analysis tools to extract quantitative information, and the development of relevant application use cases.
Metrology Solutions for GAA Process Challenges
Let us examine two metrology technologies that were successfully migrated from the lab to the fab and how they solve unique challenges in the GAA process flow.
Dopant Concentration – SIMS on GAA Structure
In this example, SIMS (Secondary Ion Mass Spectrometry) is employed to monitor dopant concentrations in NFETs after the source/drain (S/D) of Silicon-Phosphorus (SiP) is grown around the fins. The analysis is based on a Design of Experiment involving various GAA widths. For all structures with differing Nanosheet widths, the positions of the silicon fins can be identified using the Germanium signal from the sacrificial SiGe superlattice layers. However, the phosphorus (P) doping concentration varies as the GAA width decreases, eventually leading to failure detection where the S/D fails to connect the Nanosheets.
Qualitative dopant concentration measurement using In-Line SIMS
Monitoring the dopant material profile on the structure is critical. By correlating this with dimensional data from OCD measurements, we can obtain quantitative results on the structure.
Strain Evolution – Raman Spectroscopy
In this example, Raman spectroscopy is used to monitor the silicon strain throughout the GAA process, which is highly correlated with the device's performance. The first graph on the left shows that the strain increases in the initial steps of the process and relaxes following the SiGe release for the small Fin Critical Dimension (gate length). The graph on the right focuses on the SiGe release step, demonstrating that the silicon channel stress can be tuned by controlling the Germanium concentration in the sacrificial SiGe layers.
Strain Evolution monitoring using Raman Spectroscopy
These are 2 examples only but there are many more challenges and additional capabilities for various in-line material metrology solutions. To mention a few:
XPS (X-Ray Photoelectron) spectroscopy is a surface-sensitive method that is used in-line for thickness and composition monitoring, and for residue detection in combination with XRF (X-ray Fluorescence).
Raman spectroscopy is used not only for strain but also for phase and crystallinity and average dopant concentration.
SIMS, which is starting its inline journey, is the ultimate measurement technique for material depth profiling and dopant and interface control.
EDS (Energy Dispersive Spectroscopy) capability on TEM (Transmission Electron Microscopy) is a powerful visualization tool for monitoring the thickness and composition of complex 3D structures during R&D and HVM.
The table below outlines the key various measurement and profiling capabilities of these four metrology technologies.
Inline Materials Metrology Solutions for GAA Process Control
Taking it one step further – Hybrid Metrology
While this blog has focused on material properties and challenges, dimensions and material properties are intricately linked in complex 3D device architectures. Physical modeling and AI can jointly address these cross-metrology challenges.
One such example is SiGe recess measurement. As shown below, we measure the variation in an XRF signal before and after SiGe recess. Once quantified through TEM calibration, this variation serves as input for average SiGe recess measurement via OCD (Optical Critical Dimension). Here, AI and XRF's material property sensitivities are used to calibrate and significantly enhance dimensional measurements.
(Source: D. Schmidt et al., IEEE TSM, 2022)
Materials have played and will continue to play a crucial role in advancing the roadmap for Advanced Logic devices. The introduction of innovative architectures and structures relies heavily on materials innovation, driving a broader array of fabrication processes and related metrology.
Numerous powerful material metrology techniques exist but integrating them into fabrication processes ("Fab-friendly") is complex and challenging. Notable examples successfully integrated in-line include XPS, Raman spectroscopy, and SIMS. As metrology innovation expands, the list will grow. Moreover, recognizing that material and dimensional challenges are interconnected, AI and modeling are leveraged to address them comprehensively.
Images credit:
[1] ResearchGate: Study of Silicon Nitride Inner Spacer Formation in Process of Gate-all-around Nano-Transistors
The semiconductor industry shapes the very fabric of our technological age, influencing nearly every aspect of our daily lives and driving the global economy. Whether it's the smartphones we use casually or the High-Power Computing (HPC) systems powering cutting-edge Generative AI applications, powerful semiconductor chips lie at the heart of it all. These chips, comprised of numerous miniature semiconductor components, particularly transistors, are marvels of modern engineering.
Just how complex and densely packed are these chips? Consider the A17 Pro, a 64-bit ARM-based system on a chip (SoC) developed by Apple for the iPhone 15 Pro, boasting an astounding 19 billion transistors. And then there's NVIDIA's H100, hailed as the world's most advanced chip, featuring a mind-boggling 80 billion transistors! Such ultra-high-scale integration has been made possible through years of relentless miniaturization of semiconductor components, resulting in reduced space and power consumption, thereby enabling the integration of larger devices that comprise these formidable systems.
However, despite these remarkable advancements, the semiconductor industry faces a host of challenges that could potentially impede or restrict the ongoing miniaturization process, which aligns with Moore's Law. First articulated by Gordon Moore in 1965, Moore's Law observes that the number of transistors in an integrated circuit (IC) doubles approximately every two years, corresponding to the introduction of new technology nodes. The sustainability of Moore's Law is a perennial question, that has been raised before and is likely to resurface.
In response to these challenges and to foster continued technological growth, scientists and engineers continuously push the boundaries of semiconductor technology through innovative designs and structural enhancements. A prominent trend in this evolutionary journey is the adoption of 3D structures in advanced technology nodes and, most recently, in advanced packaging. In this post, we’ll briefly review the 3D evolution occurring at the component, device, and system levels of semiconductor chips.
3D Components Evolution
The transistor, the cornerstone of semiconductor design, has encountered physical limitations with the Planar transistor around the 28 nm technology node. Shrinking the transistor's channel and gate at this stage degraded its performance, necessitating innovative designs. This led to the introduction of the FinFET and, later, the Nanosheet (Gate-All-Around) transistor. While this evolution did not curb the rise in transistor costs in advanced technology nodes (16 nm and beyond), it facilitated further enhancements in performance, particularly in switching speed and current density. The transistor's 3D evolution beyond Nanosheet continues in R&D with the future “true 3D” CFET (nFET over pFET).
In the Memory segment, specifically DRAM, the bit cell, comprising two fundamental components—the transistor and a storage capacitor (1T1C)—is undergoing a similar evolution. It represents the second design evolution after the initial developments in the '70s and '80s. The storage capacitor has evolved from its initial Planar structure in 2 different paths – the trench (barried) capacitor and the stacked capacitor, that eventually, years later, privailed. It’s Cylinder structure was gradually formed in order to maintain sufficient capacitance as feature size is reduced. This evolution necessitated introducing new high-K dielectric materials to achieve desired capacitance values.
With the capacitor's geometry fixed on the cylinder shape, the focus shifted to minimizing the bit cell size and structure in order to maximize the overall memory capacity. It evolved (shrank) from 8F2 to 6F2 (F = Feature Size), with its capacitor becoming narrower and taller (Pillar-like) with the introduction of each new technology node. The industry is now moving toward a 4F2 size cell featuring a vertical capacitor on top of a vertical channel transistor (VCT).
3D Devices Evolution - Memory
Moving on to the device level, let's have a look at the memory segment and continue with the DRAM evolution. While the above-mentioned 4F2 architecture makes the memory cell smaller from an area standpoint, the capacitor consumes a lot of vertical space. The proposed solution to the ongoing capacitance challenge is to flip the cell onto its side, with the capacitors now oriented horizontally. This approach paves the way to a “single device” 3D DRAM, as the memory cells can be now stacked to form a tall vertical structure. This will require enough layers on this type of 3D DRAM to offset the increase in the lateral footprint in order to make it cost-effective.
Samsung Electronics recently shared a technology roadmap presented at Memcom, Link- https://semiwiki.com/forum/index.php?threads/samsung-announces-plans-for-3d-dram-development-with-sub-10nm-technology.19973/
in which 2D DRAMs featuring VCTs and 4F2 cell design are expected to emerge in 2027–2028. Samsung also plans to adopt a 3D stacked DRAM process technology sometime in the early 2030s. Meanwhile, 3D DRAM in the form of High Bandwidth Memory (HBM) is already a reality, achieved through system-level (packaging) integration.
There’s currently a high focus on 3D DRAM evolution, following NAND Flash memory's transformation to 3D, which is used in smartphones, tablets, and storage devices like SSDs.
In 3D NAND Flash, memory cells are already stacked vertically in layers, allowing for increased capacity within a smaller footprint, thus significantly reducing the cost-per-byte. Vertical stacking also enables shorter connection paths between the layers, leading to lower latency and higher performance. However, manufacturing this memory stack presents a major challenge due to the high aspect ratio etching of the channel area. This is addressed by further vertical expansion through the creation of multi-deck structures.
3D System-On-Chip Evolution
The cost associated with leading-edge nodes, combined with the lack of scaling of significant design blocks and die sizes reaching reticle size, is driving the disaggregation of chip functions into their most cost-effective nodes for performance. This necessitates new technologies to interconnect these functions. In response to this new reality of a fragmented "wafer economy," semiconductor manufacturers are gradually transitioning towards a "packaging economy" through advanced packaging and, specifically, heterogeneous integration.
Heterogeneous Integration involves combining devices from different technology nodes to form a complete System in a Package (SiP). Similar to 3D devices, transitioning from a horizontally integrated 2D and 2.5D architecture to a vertically integrated 3D architecture reduces package size and improves performance metrics such as latency and power dissipation.
A notable example of such 3D system integration is HBM (High-Bandwidth Memory), mentioned earlier. HBM is a standardized stacked memory technology that provides wide data channels both within the stack and between the memory and logic components. Stacked DRAM dies are connected to other functional dies through TSVs (Through Silicon Vias), Microbumps, and an Interposer.
Manufacturing and Process Control in the 3D Era
This tri-layered 3D evolution profoundly impacts semiconductor manufacturing processes and associated metrology. Complex 3D structures must be precisely fabricated, posing new and challenging critical dimensions to measure. Introducing new materials across different process steps necessitates analysis for composition, concentration, contamination, and strain.
While this increase in process steps and complexity expands the scope and intensity of metrology, the objectives of high-yield and cost-effective manufacturing of advanced semiconductor devices remain paramount. As far as metrology is concerned, achieving these objectives is facilitated through multidisciplinary metrology, Link- https://www.novami.com/products/products-overview innovative migration of traditional lab tools to HVM in fabs (e.g., XPS, Link- https://www.novami.com/nova-technology/x-ray-photoelectron-spectroscopy-xps/ Raman, Link- https://www.novami.com/nova-technology/raman-spectroscopy/ SIMS Link- https://www.novami.com/nova-technology/secondary-ion-mass-spectrometry-sims/ ), the development of Machine Learning Link- https://www.novami.com/nova-product/nova-fit/and advanced 3D modeling algorithms, and the introduction of out-of-the-box Hybrid Metrology Link- https://www.novami.com/nova-technology/hybrid-metrology/ solutions for highly complex metrology challenges.
technology solutions to these ever-evolving challenges, supporting the semiconductor industry's continuous growth. If you're eager to contribute to this exciting journey, explore opportunities on our career page today! Link to Career Site https://www.novami.com/careers/working-at-nova/
Images credits:
[1] 3-D Self-aligned Stacked NMOS-on-PMOS Nanoribbon Transistors for Continued Moore’s Law Scaling; Authors: multiple (Source: IEEE Link- https://ieeexplore.ieee.org/document/9372066)
[2] Development of three-dimensional MOS structures from trench-capacitor DRAM cell to pillar-type transistor; Author: Hideo Sunami (Source: IEEE) Link- https://www.semanticscholar.org/paper/Development-of-three-dimensional-MOS-structures-to-Sunami/b441177720b61520c69fe27cdb29ea5eb8ba31a3
[3] 1T-1C Dynamic Random Access Memory Status, Challenges, and Prospects Authors: Alessio Spessot and Hyungrock Oh (Source: IEEE Link- https://ieeexplore.ieee.org/document/8976234
Imagine transitioning from solving tech puzzles to leading a room full of yogis into meditation. This is exactly what Aaron Loss does, with one foot in the tech world and the other in a yoga studio.
His fascinating path took him from anthropology studies at San Jose State University to the spiritual land of India, and now, to our very own Nova, where he works as a help desk technician and also instructs yoga classes to the team.
Today he shares with us his inspiring journey which blends tech, learning, teaching, and tranquility.
Aaron, could you share a bit about your background and what led you to Yoga?
Right now, I’m wrapping up my anthropology degree at San Jose State University. I’ve always been fascinated by the human body and our physical and cultural evolution, which is why I dove into anthropology. I’ve been into fitness for about 12 to 13 years, mainly teaching weight training and high-intensity classes, like what you’d see in CrossFit. But, after a while, all that heavy lifting and marathon training started to take its toll on my body.
That's when I got curious about yoga.
At first, it was just about stretching out those sore muscles, but then I really got into it after taking some classes. I figured, I’m already certified in all these other fitness disciplines, why not add yoga to the mix? So, I looked into teacher training programs, comparing costs here in the States and in India, where yoga originated. It turned out going to India for the training was not only cheaper but also felt more authentic. So, I took a break from school and headed over there. I spent about 6 weeks at an ashram, immersed in yoga from dawn till dusk. It was intense, covering everything from different yoga styles to philosophy and meditation. That experience really opened my eyes to what yoga is all about, way beyond just the physical practice. It shifted my whole perspective on fitness and life in general.
After coming back from India, how did you manage to weave yoga into your everyday life?
It's kind of a cool story. Right when I got back, I literally bumped into a conversation about yoga in a Starbucks line. The person behind me was about to open a yoga studio and we just clicked. Before I knew it, I was teaching yoga regularly, something I hadn't planned but absolutely loved. Then the pandemic hit, and like everyone else, I had to pivot—moving classes online and keeping that connection alive through screens and outdoor parks. It was a shift, but it kept my passion for teaching burning.
We are curious to know how did you make the shift from Yoga and anthropology into tech and specifically into Nova?
Along with teaching Yoga I have attained my AA in cybersecurity, and I was on the hunt for some real-world experience in the tech industry. I joined an outsourcing company which assigned me to work mainly with Nova, which turned out to be this incredible learning curve. Being at Nova is being at the forefront of tech—it is about dealing with pressure but more about the pace and the constant learning. I am often thrown into the deep end, but thanks to my teammates and some savvy engineers, I never feel alone. They guide me through, so in turn, I can support them. It is a real team effort.
After a year of working as a contractor Nova decided to bring things in-house. They wanted my colleague Ivan and me to join full-time, to really be part of Nova's journey. When I got the offer, I was honestly thrilled. The opportunity to grow with Nova was something I couldn't pass up. So, in December, I made the switch to being a full-time employee.
What are you enjoying most about your current job?
I love working with computers and I have been building my own PCs since I was around 13 years old. I’ve always enjoyed an environment where I can take apart and tinker with a device to see what might be causing it issues. Working in fitness has also shown me that I love working with people and my current job allows me the best of both worlds to solve problems together with my team.
What makes working at Nova special to you?
My coworkers at Nova are enjoyable to work with. They are all extremely dedicated and committed to their goals. The wealth of knowledge and passion they all share is inspiring, and it keeps me motivated to continue my education as well as my personal and career growth.
What does the IT team contribute to our company mission?
The IT team is what keeps the internal gears of a company running smoothly. We are constantly on the lookout for issues that may arise and we take proactive steps to prevent them from causing any problems to other teams or individuals. If there is an issue that prohibits someone from getting their work done then we quickly remedy the problem and produce documentation to ensure we can approach it more effectively in the future. When the IT team is effective in their approach to problem solving then the rest of Nova can do what they need to with peace of mind.
How are you empowered by Nova to make an impact?
Nova is always moving forward in a positive way, whether its through their position in the metrology sciences, their willingness and care to make a smaller impact on the environment, or their dedication to their employees and communities there are always opportunities to make an impact. I am empowered to continue my growth in IT knowledge to better assist my team. In alignment with Nova I am proactive in limiting my carbon footprint while volunteering whenever there are events that are offered to help others. I participate in Nova’s internal events whenever possible so that I can be active in strengthening our community.
How did you end up offering yoga classes to your Nova colleagues?
In the past I had some experience with teaching at companies who wanted a bit of wellness for their teams. It was different, not your typical studio crowd, but I loved the challenge and the change of pace. Fast forward to my early days at Nova, and I'm chatting with my colleagues, sharing bits about my life outside of tech, including my passion for yoga.
Then Keren from HR heard about it and thought, "Why not bring yoga to Nova?"
I was all for it—I mean, talking about yoga, practicing it, teaching it, it's all up my alley. We started planning, sorting out when and where, and just like that, I found myself rolling out mats with my colleagues at Nova. It all came together quicker than I expected. It's an amazing experience which brings a slice of calm to our busy days.
What kind of feedback have you received from your colleagues about the yoga classes?
Well, the feedback has been positive. They've been curious, asking insightful questions after class. Questions like how they can improve a specific pose or where to find good online classes to complement our weekly sessions. It shows me they're not just participating; they're genuinely interested in incorporating yoga into their lives. And that's been fantastic to see. It's all about finding that sweet spot—bringing a bit of physical wellness into our workday without overdoing it. It's been rewarding, really, seeing how a little stretch and mindfulness can start to shift how we approach our day at the office and beyond.
Aaron, your journey from fitness enthusiast to a Yoga instructor to a help desk technician exemplifies the power of balance and importance of wellness. We value your dedication to sharing the transformative power of yoga with your Nova colleagues since it not only enriches our work life but it also strengthens our teamwork and highlights the importance of holistic well-being.
________________________________________________________________
We Are Hiring- Click here to learn more about the exciting career opportunities at Nova
Link: https://www.novami.com/careers/working-at-nova/