Abstract
The mainstream of dimensional metrology development is focused towards continuous shrinking of the devices (Moore scaling). Current cutting-edge technologies are in few nanometer range (3-7nm). There is also a growing demand to characterize structures with large dimensions in microns range (pitch, CD or depth). New technology megatrends such as internet of things (IOT) additionally require More than Moore scaling and heterogeneous integration [1-3]. Due to recent developments ultra large pitch scatterometry applications growth is observed in high power, sensors and packaging areas. Here we present novel approach that is focused on ultra large pitch scatterometry and its challenges. We demonstrate how to extend usage of conventional scatterometry for micro size devices.
Keywords: large pitch, OCD, scatterometry, RCWA limits, metrology, deep trench
Abstract
The methodology of measuring the lateral etch, or indentation, of SiGe nanosheets by using optical scatterometry, x-ray fluorescence, and machine learning algorithms is presented and discussed. Stacked nanosheet device structures were fabricated with different etch conditions in order to induce variations in the indent. It was found that both scatterometry in conjunction with Spectral Interferometry and novel interpretation algorithms as well as TEM calibrated LE-XRF are suitable techniques to quantify the indent. Machine learning algorithms enabled an additional solution path by combining LE-XRF data with scatterometry spectra therefore avoiding the need for a full optical model.
ABSTRACT
The native self-alignment of area-selective deposition (ASD) processes makes this technology a promising solution for precise pattern positioning in the EUV era. The key challenge for any ASD process is its defectivity associated with the deposition on the growth-inhibiting surface. Therefore, the ability to qualify an ASD process using the appropriate set of in-line metrology tools is crucial for up-scaling of the technology. In this work, we present a new concept of area-selective ALD TiO2 growth and use it as an example to show the potential of in-line OCD and XPS tools for evaluation of ASD processes. The proposed novel process is based on selective growth of TiO2 on top of SiO2/SiN in the presence of plasma halogenated amorphous carbon (a-C:H) acting as a growth-inhibiting layer. The exposure of a-C:H to CF4 or Cl2 plasma results in formation of a thin halogen-rich film suppressing nucleation of TiO2, while the latter is minimally affected on the plasma treated SiNx or SiO2 layers. The selectivity was assessed on both blanket films and 45 nm half-pitch a-C:H line patterns. The analysis of blanket a-C:H substrates showed that the plasma chlorination provides a substantially more efficient growth inhibition as compared to the fluorination. However, the ability of the CF4-plasma to etch the topmost surface of the a-C:H makes it more favorable for application on a-C:H patterns, surface of which is typically contaminated with residues from hard-mask or from the patterning plasma. Therefore, the pre-cleaning of the a-C:H line pattern surface with CF4-plasma is required to restore the growth blocking efficiency of the chlorinated a-C:H.
Keywords: area-selective deposition, ALD, amorphous carbon, defectivity, OCD, XPS, in-line metrologyABSTRACT
Machine learning (ML) techniques have been successfully deployed to resolve optical metrology challenges in semiconductor industry during recent years. With more advanced computing technology and algorithms, the ML system can be improved further to address High Volume Manufacturing (HVM) requirements. In this work, an advanced ML ecosystem was implemented based on big data architecture to generate fast and user-friendly ML predictive models for metrology purposes. Application work and results completed by using this ML eco-system have revealed its capability to quickly refine solutions to predict both external reference data and to improve the throughput of conventional Optical Critical Dimension (OCD) metrology. The time-to-solution has been significantly improved and human operational time has also been greatly reduced. Results were shown for both front end and back end of line measurement applications,demonstrating good correlations and small errors in comparison with either external reference or conventional OCD results. The incremental retraining from this ML eco-system improved the correlation to external references, and multiple retrained models were analyzed to understand retraining effects and corresponding requirements. Quality Metric (QM) was also shown to have relevance in monitoring recipe performance. It has successfully demonstrated that with this advanced ML eco-system, streamlined ML models can be readily updated for high sensitivity and process development applications in HVM scenarios. Keywords: Machine learning, Optical Critical Dimension (OCD), big data, High Volume Manufacturing (HVM), incremental retraining, correlation, time to solution, Quality Metric (QM)
ABSTRACT
A methodology of obtaining the local critical dimension uniformity of contact hole arrays by using optical scatterometry in conjunction with machine learning algorithms is presented and discussed. Staggered contact hole arrays at 44 nm pitch were created by EUV lithography using three different positive-tone chemically amplified resists. To introduce local critical dimension uniformity variations different exposure conditions for dose and focus were used. Optical scatterometry spectra were acquired post development as well as post etch into a SiN layer. Reference data for the machine learning algorithm were collected by critical dimension scanning electron microscopy (CDSEM). The machine learning algorithm was then trained using the optical spectra and the corresponding calculated LCDU values from CDSEM image analyses. It was found that LCDU and CD can be accurately measured with the proposed methodology both post lithography and post etch. Additionally, since the collection of optical spectra post development is non-destructive, same area measurements are possible to single out etch improvements. This optical metrology technique can be readily implemented inline and significantly improves the throughput compared to currently used electron beam measurements.
Keywords: Scatterometry, machine learning, CDSEM, hybrid metrology, EUV, LCDU
Abstract
Beyond the 5nm technology node, interconnect scaling has an impact on metal material selection: usage of copper may hit a limit with respect to resistance and reliability performance [1]. Thickness of barrier and liner (required for copper) cannot be reduced further, meaning that trench width reduction will have a negative effect on the relative copper volume.
Grain boundary scattering increases as well, which in turn further increases resistivity and resistance. One of the best alternatives is Ruthenium (Ru), but dual-damascene processing is difficult with Ru (requires improvements in Ru filling of narrow high aspect ratio trenches and in Ru CMP selectivity and defects). So, a Back End of Line (BEOL) material change may require a move to a semi-damascene integration with direct Ruthenium metal etch [2].
This shift from a well-known dual-damascene flow, based on metal CMP, to a semi-damascene flow, based on metal etch integration, will require a new set of metrology capabilities, which are studied in this paper.
In the current study, Scatterometry is widely used in all semi-damascene process steps to monitor both dimensional and material properties. Important measured parameters include thickness, full profile details, grain size, and roughness of metal lines—all parameters that are required for feedback and in-line process control. We will describe how these parameters can be monitored using a single Scatterometry metrology system.
The semi-damascene process development described in this paper exploits EUV lithography at a critical dimension (CD) of 16 nm and 32 nm pitch and includes the optimization of the following process steps:
- Metal deposition techniques: ALD and PVD, with a wide range of metal thickness.
- Anneal , affecting grain size.
- Etch process , for optimal metal line profile and roughness.
The Scatterometry results were evaluated and verified by reference techniques such as CDSEM, and HAADF-STEM
The goal of the process optimization was Ru resistivity and resistance. In-line Scatterometry was shown to accurately predict the resistance of the Ru lines — parameter that is measured at the end of the processing, and is affected by all processes, including deposition, annealing, and etch. Prediction was carried out by a machine learning algorithm, based on an E-test, combining the contribution of all three process steps into a single output, at the post-etch measurement phase.