Home Technology overview Publications

Spectral Interferometry for Fully Integrated Device Metrology

September 2023 @ SPIE
Authored by: Authored by: Daniel SchmidtiD ,a,* Manasa Medikonda,a Michael Rizzolo,a Claire Silvestre,a Julien Frougier,a Andrew Greene,a Mary Breton,a Aron Cepler,b Jacob Ofek,c Itzik Kaplan,c Roy Koret,c and Igor Turovetsc

Abstract.
A spectral interferometry technique called vertical travelling scatterometry (VTS) is introduced, demonstrated, and discussed. VTS utilizes unique information from spectral interferometry and enables solutions for applications that are infeasible with traditional scatterometry approaches. The technique allows for data filtering related to spectral information from buried layers, which can then be ignored in the optical model. Therefore, using VTS, selective analyses of the topmost part of an arbitrarily complex stack are possible within a single metrology step. This methodology helps to overcome geometrical complexities and allows for focusing on parameters of interest through dramatically simplified optical modeling. Such model simplifications are specifically desired for back-end-of-line applications. Three examples are monitored discussed: (i) the critical dimensions (CDs) of a first metal level on top of nanosheet gate-all-around transistor structures, (ii) the thickness of an interlayer dielectric above embedded memory in the active area, and (iii) the CDs of trenches on top of tall stacks in the micrometer range comprising many layered dielectrics. It was found that, in all three cases, data filtering through VTS allowed for a simple optical model capable of delivering parameters of interest. The validity and accuracy of the VTS solution results were confirmed by extensive reference metrology obtained by traditional scatterometry, scanning electron microscopy, and transmission electron microscopy. Furthermore, it was shown that machine learning models trained with VTS filtered data can converge to a robust solution with a smaller dataset compared with models training with traditional scatterometry data. © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 International License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI. [DOI: 10.1117/1.JMM.22.3.031203] –


Keywords:
spectral interferometry; vertical travelling scatterometry; scatterometry; optical critical dimension; spectral reflectometry.

Home Technology overview Publications

Full wafer OCD metrology: increasing the sampling rate without the cost of ownership penalty

April 27 2023 @ SPIE Advanced Lithography + Patterning
Authored by: Daniel Doutt*a, Ping-ju Chena, Bhargava Ravooria, Tuyen K. Trana, Eitan Rothsteinb, Nir Kampelb, Lilach Tamamb, Effi Aboodyb, Avron Gerb, Harindra Vedalac

ABSTRACT
Optical Critical Dimension (OCD) spectroscopy is a reliable, non-destructive, and high-throughput measurement technique for metrology and process control that is widely used in semiconductor fabrication facilities (fabs). Wafers are sampled
sparsely in-line, and measured at about 10-20 predetermined locations, to extract geometrical parameters of interest.

Traditionally, these parameters were deduced by solving Maxwell’s equations for the specific film stack geometry.
Recently advanced machine learning (ML) models, or combinations of ML and geometric models, has become increasingly attractive due to the several advantages of this approach.

Advanced node processes can benefit from more extensive data sampling, but this conflicts with measurement cycle time goals and overall metrology tool costs, which cause fabs to use sparse sampling schemes. In this paper, we introduce a
novel methodology that allows wafers to be sampled sparsely but provides the parameters of interest as if they were densely measured. We show how such a methodology allows us to increase data output with no impact on overall measurement time, while maintaining high accuracy and robustness. Such a capability has potentially far-reaching implications for improved process control and faster yield learning in semiconductor process development.

Keywords: OCD metrology, machine learning, WiW, wafer map, sampling scheme.

Home Technology overview Publications

TSV stress evolution mapping using in-line Raman spectroscopy

April 27 2023 @ SPIE Advanced Lithography + Patterning
Authored by: Stefan Schoeche, Daniel Schmidt, Marjorie Cheng, Aron Cepler, Abraham Arceo de la Pena, Jennifer Oakley

ABSTRACT
A comprehensive picture of the stress evolution within arrays of through-silicon-vias (TSV) is developed using in-line Raman spectroscopy. A set of wafers with different TSV geometries and metal seed liner thicknesses is exposed to various annealing conditions. Monitoring the Si-Si phonon mode shift between the vias, the influence of via geometries and processing conditions on the stress in the Si substrate is characterized non-destructively. Compressive stress is found in close proximity to the TSVs post Cu fill, as expected. However, for arrays with small TSV pitches, the substrate does not fully relax in the space between the vias, but rather tensile stress accumulates within the arrays. This inter-via stress increases with decreasing TSV pitch, accumulates towards the center of the arrays, and strongly depends on the annealing conditions. High resolution Raman maps within the arrays reveal the full picture of stress distribution in the TSV arrays. By using different excitation wavelengths, the variation of the stress with depth in the Si wafer is probed. The findings demonstrate the value of in-line access to process-dependent stress information. This knowledge helps to define design ground rules for highest device performance or to maximize the useable area on the wafer for logic devices.

Home Technology overview Publications

300mm in-line metrologies for the characterization of ultra-thin layer of 2D materials

April 27 2023 @ SPIE
Authored by: A. Moussa, J. Bogdanowicz, B. Groven, P. Morin, M. Beggiato, M. Saib, G. Santoro, Y. Abramovitz, K. Houtchens, S. Ben Nissim, N. Meir, J. Hung, A. Urbanowicz, R. Koret, I. Turovets, G. F. Lorusso, A.-L. Charley

ABSTRACT
Devices based on 2D material channels require high-quality monolayer material. However, although the value of many laboratory metrology techniques has been demonstrated on small coupons, the development of inline characterization of 2D material layers grown on full 300mm wafers is still missing. In this work, we evaluate and combine different inline metrologies to characterize at wafer level the thickness and the morphology of tungsten disulfide (WS2) layers grown on full 300mm wafers. Combining the results from the different techniques allows us to reveal the morphology and the thickness of the WS2 layers as well as their uniformity across the 300 mm wafers for different growth conditions.
Keywords: 2D material, WS2, Tungsten disulfide, in-line metrology, 300mm wafer.

Home Technology overview Publications

Ion Implantation Applications for In-Line SIMS Metrology

January 26, 2023 @ Nova
Authored by: Benjamin Hickey, Wei Ti Li, Sarah Okada, Lawrence Rooney, Feng Zhang

Abstract
In the semiconductor industry, ion implantation process has expanded to a wide range of applications with doses and energies spanning several orders of magnitude.
Ion implantation is a very complicated process with many parameters and factors that affect the implant profile. For example, shadowing effects from higher aspect ratio of photoresist opening, ion channeling or de-channeling effects due to implant angle variations, and dose and implant energy accuracies are all important factors in achieving uniform device performance and good product yield. In addition, current process controls are done on test wafers with certain time intervals, where broken sample pieces are sent outside of the fab for SIMS analysis. The turnaround time is generally long, and the results often do not reflect the actual production conditions. It is known in some cases that, while the control charts are in good standing, the product has failed to meet its specification. The demand for consistent implantation material is becoming more and more important. Hence, the desire for better implant process control is sorely needed.
This paper explores how utilizing Secondary Ion Mass Spectroscopy, (SIMS) in-line to measure peak concentration, peak depth, and dose simultaneously to provide better implant process control.

Home Technology overview Publications

Epi SiGe Application using Metrion® in-line SIMS System

January 26, 2023 @ Nova
Authored by: Benjamin Hickey, Wei Ti Li, Sarah Okada, Lawrence Rooney, Feng Zhang

Abstract
The epitaxial process is a well-established deposition technique in semiconductor fabrication because it enables the ability to achieve much higher doping concentrations than can be obtained via ion implantation. As we move toward <5nm technology, a key process for enabling gate-all-around FET (GAAFET) is the stacked multi-lattice of Silicon (Si) and Silicon-germanium (SiGe) epi process for constructing the nanosheets.
Germanium (Ge) content in SiGe correlates to channel stress, and the germanium fraction (Ge%) has been increasing steadily as we move towards smaller technology nodes. When stress is high, epi layers can suffer from multiple problems such as defect formation, facet formation, non-uniform strain, etc. The challenge is greater when moving from planar to 3D structures, where uniformity of strain and control of defect density are important. Often, multiple epi layers of SiGe with varying thicknesses, Ge%, and Boron doping are deposited to optimize the device structure and process integration.
Enabling process control on the layer thickness, Ge%, and Boron doping concentration in these complicated SiGeB epi stacks is critical in high-volume manufacturing (HVM), and there is no single in-line metrology that is able to do the measurement.
This paper describes how in-line Secondary Ion Mass Spectrometry (SIMS) could be a solution to this problem by providing material composition profiles as a function of depth – resulting in thickness, Ge% and Boron concentration data from each nanosheet

Home Technology overview Publications

Detection of contaminants in positive and negative ion mode using in-line SIMS with an Oxygen primary ion beam

January 26, 2023 @ Nova
Authored by: Julia Hoffman, Sarah Okada, Lawrence Rooney, Bruno Schueler, Ganesh Vanamu

Abstract: Utilizing Secondary Ion Mass Spectrometry (SIMS) for in-line metrology is a newly emerging method of process control that requires contamination-free measurements, enabling SIMS on product wafers. SIMS measurements of negative ions are usually associated with a Cesium primary ion beam. Unfortunately, when Cesium is present in Silicon, it forms trap states in the Si band gap, which can cause serious leakage issues for Si-base transistors. Therefore, Cesium is considered a very damaging contaminant in semiconductor devices.
On the other hand, an Oxygen primary ion source, which is typically used for positive secondary ion measurements, is the primary beam of choice for in-line SIMS since it is benign and not considered a contaminant. By switching the secondary spectrometer polarity between positive and negative ion modes, an Oxygen primary ion source can be used successfully to measure both positive and negative
species.
While an Oxygen primary ion source may not provide the same sensitivity to negative secondary ions as a Cesium primary ion beam, the ability to directly measure a range of species without the risk of contamination creates a wide field for in-line SIMS applications.
In this paper, the use of an Oxygen primary ion source for positive and negative secondary ion detection is being investigated on an in-line SIMS tool. We evaluate sensitivity levels of detecting contaminants like C, F, Cl in positive and negative ion mode with an Oxygen primary ion beam, as well as the use of proxy species or alternate isotopes for improved results.